David Brooks

Computer Science

School of Engineering and Applied Sciences

Haley Family Professor of

Computer Science

Maxwell Dworkin 141
33 Oxford Street
Cambridge MA 02138

Phone: 617-495-3989
Fax: 617-496-6404

E-mail:

dbrooks {at} eecs.harvard.edu

Curriculum Vitae

 

Research

Courses

My research focuses on the interaction between the architecture and software of computer systems and underlying hardware implementation challenges.  These challenges include power, reliability, and variability issues across embedded and high-performance computing systems.  A basic tenet of my research is that architecture design must be cognizant of these implementation issues, and that multi-layer solutions spanning circuits, architecture, and software can provide significant advantages. Addressing technology-scaling issues in a multi-layer fashion requires an understanding of the impact at the silicon level, and we have completed several prototype chip designs to meet these goals.

I have written a short summary of my research activities, and a longer research narrative.  

 

CS 141:

Computing Hardware

[Fall '15]

 

CS 246:

Computer Architecture
[Fall '19]

 

Projects

Office Hours

Friday, 11am-Noon

  • Full Publication List

  •  

    PhD Students

    Former Students

    Tutorials

     

    • Keith Bowman, David Brooks, Gu-Yeon Wei, Chris Wilkerson. “Design Variability: Trends, Models, and Design Solutions,” 41st Annual International Symposium on Microarchitecture (Micro-41), Lake Como, Italy, Dec. 2008 [Tutorial Website]

    • David Brooks, Bronis de Supinski, Benjamin Lee, Sally A. McKee, Martin Schulz, Karan Singh. “Learning and Inference Tutorial (LIT) for Large Design and Parameter Spaces,” International Conference on Architectural Support for Programming Languages and Operating Systems (ASPLOS'08), Seattle, WA, March 2008. [Tutorial Website]

    • David Brooks, Bronis de Supinski, Benjamin Lee, Sally A. McKee, Martin Schulz, Karan Singh. “Inference and Learning for Large Scale microarchitectural Analysis,” 34th International Symposium on Computer Architecture (ISCA-34), San Diego, CA, June 2007. [Tutorial Website]

    • Zhigang Hu, David Brooks, Victor Zyuban, “Microarchitecture-Level Power-Performance Simulators: Modeling, Validation, and Impact on Design,” 36th Annual International Symposium on Microarchitecture (Micro-36), San Diego, CA 2003. [Tutorial Website]